Papayaved
@Papayaved
FPGA, MCU

Как организовать верификацию параметров модуля на SystemVerilog?

Как организуют автоматическую верификацию параметров модуля?

Можно ли вызывать параметризируемый тестбенч или сам тестируемый RTL модуль, с разными параметрами, динамически. Или SystemVerilog не приспособлен для автоматического тестирования параметров?
  • Вопрос задан
  • 206 просмотров
Решения вопроса 1
@Dmitriy0111
Здравствуйте, если вопрос ещё актуален, то среды моделирования могут перегружать параметры тестбенча или модулей. Во всяком случае modelsim при выполнении команды vsim может перегрузить параметр через ключ -g"parameter name"="parameter value". Больше информации можно прочитать в мануалах по командам для конкретной среды моделирования. Таким образом можно при помощи скрипта на tcl запустить несколько тестов последовательно (при помощи циклов).
Ответ написан
Комментировать
Пригласить эксперта
Ваш ответ на вопрос

Войдите, чтобы написать ответ

Войти через центр авторизации
Похожие вопросы
19 апр. 2024, в 13:31
10000 руб./за проект
19 апр. 2024, в 13:12
35000 руб./за проект
19 апр. 2024, в 13:06
6000 руб./за проект